Diary/2008-8
前の月<< 2008-8 >>次の月 | ||||||
---|---|---|---|---|---|---|
日 | 月 | 火 | 水 | 木 | 金 | 土 |
1 気づいたら | 2 ホース | |||||
3 スライド作り | 4 佐賀へ移動 | 5 TENCON2008 | 6 | 7 とあるイベントで | 8 福岡へ | 9 倉庫 |
10 のんびり | 11 USBキーボードをばらす | 12 献血 | 13 | 14 一仕事 | 15 のんびり | 16 |
17 | 18 | 19 もやもや | 20 論文読み | 21 gitへの移行 | 22 若手研究者 | 23 |
24 基板作成 | 25 | 26 ミーティング | 27 SPUパイプライン可視化 | 28 FreeHDLでtextio | 29 | 30 |
31 観ようと思う映画メモ |
Diary/2008-8-31
観ようと思う映画メモ
なんかすぐ忘れるので,とりあえずメモ.まあ,忘れる程度なら観なくていいような気もするけど...
- 「壁男」
- 「魔法をかけられて」
映画
下の世界に行かないためのチェックリスト
http://blog.creamu.com/mt/2008/08/post_52.htmlより- 文句やぐちが出てきたら注意する。
- ぐちは必ず下の世界から上の世界に向けて発せられる。その逆のベクトルはない。
- 相手を批判しているときは自分に原因がある。
- 悩んでいるときは、「試されている」ことに気づく。
- 理性で感情をコントロールする。
- 言葉遣いが悪くなってきたら注意する。
- 部屋が汚くなってきたら注意する。
- 問題が整理できたら最後には許す。
- アクマを粉砕する。
Diary/2008-8-28
FreeHDLでtextio
VHDLのtextioを使ったシミュレーションをFreeHDLでやってみた.ieee.std_logic_textioがないみたいなので,std.textioで頑張る.
# つまりhreadが使えない.
たとえば,
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library std; use std.textio.all; entity test is end test; architecture Behavior of test is signal a : std_logic_vector(7 downto 0); signal clk : std_logic; file src : text open read_mode is "in_file_name"; begin process begin for i in 1 to 10 loop clk <= '0'; wait for 50 ns; clk <= '1'; wait for 50 ns; end loop; wait; end process; process variable l : line; variable var_a : bit_vector(7 downto 0); begin wait until CLK'event and CLK = '1'; readline(src, l); -- 1行読む read(l, var_a); -- デリミタ(空白)までを切り出して変数に代入 a <= to_stdlogicvector(var_a); -- std_logic_vectorに変換して代入 end process; end Behavior;書き出すファイルが欲しければ,
file dest : text open write_mode is "out_file_name";とかして定義して,
write(l, string'("test")); writeline(dest, l);とすれば,書き出せる.標準出力に書き出したいときは,
destの代わりにoutputと書くとよい...みたい.
readlineで読んだデータは空白で分割されて,
readの記述順に従って変数に格納される.
実行時に開きたいファイルがあれば,実行中にデータを書き足しても
ちゃんと読んでくれるよう.便利〜♪
Diary/2008-8-27
SPUパイプライン可視化
http://www.ibm.com/developerworks/jp/power/library/j_pa-asmvis2/というのを教えてもらった.面白い.
些細なこと
びっくりするぐらい些細なことを尋ねられて苛っとしたけど,過去に私がその人に,何か些細なことで怒ってしまったことがあって,
そのせいで,一々尋ねられるようになってしまったのかなあ.
ちょっと記憶にはないんだけど...
「些細なこと」っていう感覚が違うのかもしれない.
Ripplexの会に行ってきた
Diary/2008-8-26
ミーティング
@大岡山.家からは遠いけど職場からは近いためか,以前より行くコストを感じなくなった.
お昼は担々麺でハッピー
K研究室の
合宿に参加させてもらうことに.いびきと寝言が心配だ.あ,寝なければいいのか.
事務作業
いろいろと.結構時間がかかってしまった.WeDict
WeDict(英英辞典)をiPod Touchにインスール.だいぶiPod Touchが便利そうになってきた.
これで,bmobileがささればなあ...って違うか.
i-osmosis
editageのエッセイの二本目を提出.残りの二本は,ちょっと書き辛いテーマだから頑張らないと.
Cilk++
http://www.cilk.com/multicore-products/cilk-solution-overview/というのを教えてもらった.
スクリーンショットがfibなのに,少し笑ってしまった.
Diary/2008-8-24
基板作成
先輩のOさんと,学生さんの基板作成におつきあい.はじめて新品のエッチング漕をみたら,随分綺麗でびっくり.
それなりによくできて,よかった.
以下,覚え書き.
- 1枚400円くらいのフィルムシートはすばらしい
- ライトボックスでの感光時間は5分だと長すぎる
- エッチング液は45度程度がよい.
はずれの日
たのしみにしていた担々麺もつくねも今日は休みだった.残念すぎる.
Diary/2008-8-22
若手研究者
として生活してもいいみたい...ありがたい話だ.オーデォオ屋
万世橋を渡ったところを山の手線側に曲ると,オーディオ屋とか真空管屋さんとかあるのね.
i-osmosis
http://www.i-osmosis.jp/から添削結果が返ってきた.
しっかりしたコメントにやる気も向上.さあ,次を送らねば.
Diary/2008-8-21
gitへの移行
subversionからgitに移行してみることにします.とりあえず,勝手メモ.
git init | gitで管理するローカルリポジトリ作成 |
---|---|
git commit | ローカルリポジトリへのコミット |
git add ファイル | 追加 |
git rm ファイル | 削除 |
git status | ステータスの表示 |
git --bare init | 管理リポジトリ(push/pullの対象) |
git remote add origin ssh://サーバ/フォルダ | 管理リポジトリの登録? |
git clone ssh://サーバ/フォルダ | クローンを作る(チェックアウトする) |
git push origin master | 管理リポジトリへのプッシュ(コミット) |
git pull origin master | 管理リポジトリからのプル(アップデート) |
subversionクライアントの方に慣れててはがゆい感じ.
WikiCFP
のタイムラインが面白いなあと思ったら,http://simile.mit.edu/timeline/
を使用しているのね.
Timeline is a DHTML-based AJAXy widget for visualizing time-based events. It is like Google Maps for time-based information.かどうかは,よく分からないけど面白い.
git+howmで日記管理
まだコマンドラインの使い方がよくわからない...svn statusの方が割と簡単に,追加/変更ファイルをパーズできたような.
とりあえず,
new_files = [] mod_files = [] IO.popen("git status", "r"){|proc| proc.read.split("\n").each{|s| if /^#\tmodified:\s+(.*)/ =~ s then c = $1 mod_files << c unless /~$/ =~ c elsif /^#\t(.*)/ =~ s then c = $1 new_files << c unless /~$/ =~ c end } }こんな感じ?バージョンが変わったら要注意だな.
Diary/2008-8-20
論文読み
Merge(ASPLOS'08)からはじまって,EXOCHI(PLDI'07)->MISP(ISCA'06)と読む.
Intelすげえとか面白いなあとか思うけど,
具体的な話については,
あまり具体的に書かれていないような気がする.
論文読み
Diary/2008-8-19
もやもや
とした気分で仕事がはかどらなかった.今日すべきだったことの最低限のことはできた...のか?
Diary/2008-8-15
のんびり
事務作業をしたり,読もうと思う論文をたくさん印刷したり.
印刷しただけで,読んだ気になってしまうからよくない.
Merge: A Programming Model for Heterogeneous Multi-core System
Intelの人の論文.ASPLOS'08.ヘテロジニアスなマルチコアを対象としたプログラミングモデル.
本当は,もっと前に読んで,この前のリファするべきだった論文.
ここでいうヘテロは,Core2Duo+X3000.
また,ホモとして,32-wayなXeon環境でも評価している.
- Map/Reduce Parallel Pattern
- a pool of target variants
- the collection of functions of the same name, # arguments, result type
- annotations are suplied with each function
- Function Library Manager
- Compiler and Runtime
- Compiler Optimization
Diary/2008-8-14
一仕事
明日提出予定の文書書きをした.たった100words程度に,エラク苦労した.
一晩ねかせて,明日えいやっと提出してしまおう.
わいわいと
ただ飲む飲み会.2.5時間3500円の飲み放題はビールじゃなくて発泡酒でがっかり.
赤霧島なる焼酎をはじめてのんだ.コクが違った気がする.
Diary/2008-8-12
献血
最近血の気が多いかもと思い献血.成分だけど.次回は8/26以降.
スパコン講習会
「T2Kオープンスパコン(東大版)お試しアカウント付き並列プログラミング講習会(試行)」開催,だって.
http://www.cc.u-tokyo.ac.jp/seminar/kosyu/kosyu-20080901.html
うーん,行ってみようかな.
折角なので
スパコン講習会に登録してみた.予定がはいりませんように.
Diary/2008-8-11
USBキーボードをばらす
DellのUSBキーボードをばらして,ピン配置を追ったり,信号線をロジアナで測定してみたりした.
結果,18bit->8bitのキースキャンマトリクスになっていた.
キースキャンマトリクスとは
PS/2キーボード
今さらながらにPS/2インターフェイスについて調べてみた.http://hp.vector.co.jp/authors/VA037406/html/ps2interface.htm
かなり面倒そうな仕様に愕然.
忙
心を亡くすと書いて忙しい.今日は,ひどい対応をしてしまった.今更ながら反省.
心を入れ替えるチャンスをもらえるだろうか.
あああ,自己嫌悪だ.
H/W設計をする上でのハードル
作る上での関門- 動かすための仕組みを考える
- ハードウェアでの実装方法について検討する
- ロジックの問題
- リソースの問題
- 実装する
- VHDLとかでの設計
- 配線
とか,書きたかったのだけど,こうやって考えてみるとあまり変わらないなあ.
自由度とか,配線スキルの問題とかかなあ.
必要な道具とそれを使いこなすノウハウが少し余計に必要という程度かも.
もちろん,アナログ回路設計は別だと思うけど.
むかむか
最近,物理的にむかむかすることが多い.Diary/2008-8-10
のんびり
と一日過ごした...けど子供はキャンキャンうるさかった.すぐ泣くし,一所懸命喋るからか声が常に大きいし.
- まあ,なんでもできるようになった(つもり)
- 実はかなりのことができない
- 何となく言っていることが伝わったり伝わらなかったり
しかし,割とばしばしと暴力的なのは,
きちんと叱りつけるのがよいのだろうか.
Diary/2008-8-9
倉庫
通販で買った倉庫を組み立てて庭においた.だんだん所帯持ちっぽい家具が増えてきたな.
Diary/2008-8-8
福岡へ
祖母・祖父のところをかなり久しぶりにそれぞれ訪問.元気そうではあったが,やはり年をとったという感じがする.
祖母の年のとりかたは感心するなあと改めて感じたり,
祖父がだいぶ弱っていて悲しくなったりした.
昨晩は子孫のために何ができるかという話だったが,
今日は祖先のために何ができるか,なんていうことを考えたり,
自分が年をとったときのことを考えたりした一日.
PHP文庫の
何とかとう人の本を薦められたけど,何だったっけかなあ.その場で携帯電話で写真とってくればよかった.
新幹線
帰りは,N700系で3列シートの窓際には全て電源がついていた.15分前くらいにいったら,割とゆっくりと席につくことができた.
のんびりと転寝したり,プログラムを書いたりしながら家へ.
Diary/2008-8-7
とあるイベントで
さらしものになってきました.まあ,その後の飲み会で,プログラミング関係の
有名人と話ができて嬉しかったな.
仕事
仕事がないとか,任期があるとか,そいういう事も勿論大事だけど30年後の日本や世界,子孫のために何を考えるべきか
というのも大事という話に.
人脈
折角知り会った方々とは人見知りとかいってないで積極的にからまないと損だよなあ.
Diary/2008-8-5
TENCON2008
投稿していたTENCON2008.採録通知日が当初の予定の7/15から7/31に延長されたあげく,
「投稿数多かったから8/3まで延期します」というさらなる延長を経て,
今朝になって
「90%審査がおわったから,採録された論文の番号をリストで掲載する」
という旨のメールが届いた.
# ちなみにメールがきてからさらに小1時間後くらいにWebに掲載された.
で,どうやら現段階で採録されたようなので一安心.
これでWebページやメールを気にしてそわそわする日から解放されそう.
しかし,なんとまあ心臓に悪いこと.
久々に数字の羅列をみて,大学の合格発表的な気分を味わいましたよ.
ちなみに会議は11/18-21なんだけど,諸々大丈夫かな?
ヘテロじゃなかった
ので,- いっそGPGPUとかに適用するか?
- 何か面白いターゲットをK先生に聞いてみる
- いっそヘテロを捨ててメニコア一本でいくか?
Diary/2008-8-4
佐賀へ移動
佐賀への移動日.のんびり新幹線で行くべく,電源のある座席を予約しようと思ったのだが,
車両もよく分からないし,面倒くさくなって,諦めることにした.
と,乗った車両には電源がある...けどその席には先人が.
世の中甘くないのね.
電源がみえてる分,かえっていらだたしい.
が,結局,電源席にいれてもらった.
ちなみに乗ったのは,のぞみ33号.
Diary/2008-8-3
スライド作り
明後日発表するためのスライドの作成.PNGな画像ファイルをEPSにしたら背景透過がうまくいかなかった.
convert -compress lzw hoge.png eps3:hoge.EPSで解決.
ちなみにEPSファイルの拡張子が大文字なのは,
Tgifから作成するEPSファイルと区別するためのマイルール.
compressの指定は関係ないような気もするけど,
これを指定しないと,ps2pdfによるPDF作成が失敗した.
明日から佐賀
佐賀駅の近くに.佐賀って何して,何を食べるといいかな?
Diary/2008-8-2
ホース
ホースを買いにいった.うちの近くには金物屋のようなものがないので,隣の駅まで.
家の近くに,サンコーとはいわないから,
ナフコ的な店ができないかなあ.
ホースについては,
そのうちSaki's Pageで詳細が語られるはず.
Diary/2008-8-1
気づいたら
もう8月.なんか7月は,雑多な用事を片付けたりしているうちに
これといって,何をしたということもなく過ぎ去ってしまったような.
ゆっくりスキルを身につけようと思っていたのに.
今月,少しでも取り戻せるようにがんばろう.
...とかいいながら,来週は一週間佐賀なんだよな.
コミュニケーションスキル
飲み会の場でのコミュニケーションスキルを身につけねば.そのためには,飲み会に行かねば(嘘)
Copyright (c) 2001-2008 Takefumi MIYOSHI, All rights reserved