Diary/2008-12
前の月<< 2008-12 >>次の月 | ||||||
---|---|---|---|---|---|---|
日 | 月 | 火 | 水 | 木 | 金 | 土 |
1 気づいたら | 2 | 3 論文の誤り | 4 | 5 ハーマンモデル | 6 続・ハーマンモデル | |
7 今月は | 8 Java/libusb | 9 予約とか | 10 Ubuntuの環境設定 | 11 キーボード | 12 JALの予約ページ | 13 責任の取り方 |
14 「英語論文に使う表現文例集」のレジュメ | 15 | 16 反省 | 17 1/24 | 18 プレゼン | 19 YAD忘年会 | 20 熊本へ |
21 お宮参り | 22 雨がふりそうな | 23 東京に | 24 クリスマスイブ | 25 バッテリの充電 | 26 専攻忘年会 | 27 打ち合わせ |
28 HaKaSe飲み | 29 整理整頓 | 30 雑用 | 31 続・MVPen |
Diary/2008-12-31
続・MVPen
MVPen (Pegasus Mobile NoteTaker) の解析を参考にLinuxで幸せに使えているMVPenですが,いかんせんperlだと自分でいろいろと弄ることができないので,
Rubyでデータパーザを書き換え.Perl読めなくて苦労...
なんか絶対座標がうまくとれてないけど,とりあえず.
mvpen.rb
Diary/2008-12-30
雑用
いろいろと雑用.Tiny Basic
つねづねBasicが欲しいなあと思いつつ放っていたので,ふと検索.Windows 版 Tiny BASIC ソースファイルをみてみる.
C++だと組み込みとかで使いづらいので,Cに変換.
char*の参照渡しが結構厄介.私,しーぷらぷらーじゃないし.
一通りポインタ私にしたつもりだけど,
ポインタ変数のインクリメンタルとかの部分で
修正しそこねているものがあるかも.コンパイルと実行はLinuxで.
TinyBasic.c
Diary/2008-12-29
整理整頓
仕事の整理整頓をしたり...のはずが夕方,部の人に呼ばれて目黒まで焼肉を食べに.美味しかった.
Diary/2008-12-28
HaKaSe飲み
いつも通り...と思いきや体調を崩していて飲めない先輩がいて残念.体調管理は大事ですね.もちろん飲み会のためというわけではなく.
やっぱり話は,ついつい技術の話になったりする.
なんか下から上まで適度にばらけていて,
何かできるかもーみたいな話になるものの,いつも具体案がでない.
来年は何か実になるといいなあ.
Diary/2008-12-27
打ち合わせ
とある打ち合わせ.とあるツールが動かなくて,おろおろ.
LM_LICENSE_FILE系は面倒だなあ.
高層マンション
高層マンションに引越した先輩の家に遊びにいった.31階に共用ラウンジとかパーティルームとかあって凄かった.
こういう家に住む人が身近にいるものなのだなあ.
昨日の飲み会の後そのまま行ったので,
足が臭くなかったかどうかが,非常に心配だった.
Diary/2008-12-26
専攻忘年会
はしゃぎすぎた.いろいろと.猛省.Diary/2008-12-25
バッテリの充電
以前使っていた大容量バッテリをスペアとして持ち運ぶためにバッテリの充電について考えてみた.
X60のバッテリは,4直2パラなので,
1セルあたり低電圧4.2V,定電流1Cで充電するとかんがえれば
16.8V,2Cな電源を用意すればいいことになる.
電源は,X60のACアダプタと同じもので(追加で購入しても5k円くらい)で,
あと,たとえば,こんなキットを使うと簡単にできるかなあ.
http://akizukidenshi.com/catalog/items2.php?q=%22K-02190%22&s=score&p=1&r=1&page=
年賀状の印刷
とりあえず60枚.ほとんど使っていなかったカラーインクを
警告マークが点灯するくらいまでに消費.
ヨドバシで,換えのインクを購入.
リサイクル品で,2個で約1900円.
Multicore partitioning is a threads and comms problem
http://www.eetimes.com/showArticle.jhtml;jsessionid=K5DRN03DLJGBSQSNDLPSKHSCJUNN2JVN?articleID=211201707スレッドレベル並列性を活かす科学技術計算用FPGAシステムの提案
論文読みIPSJ-ARC08180015 2008-ARC-180 Vol.2008 No.101FPGA上にモジュラな構造のハードウェアの組み合わせで計算システムを構築する.確率モデル生化学シミュレーションを題材に評価.実装は,First Reaction Method(FRM).
- 確率モデル生化学シミュレーション
Exact Stochastic Simulation of Coupled Chemical Reactions(http://www.dna.caltech.edu/courses/cs191/paperscs191/gillespie2.pdf)
- ソフトウェアによる高速実行アルゴリズム
- Stochastic Simulation of Chemical Kinetics(http://arjournals.annualreviews.org/doi/abs/10.1146/annurev.physchem.58.032806.104637)
- Efficient Exact Stochastic Simulation of Chemical Systems with Many Species and Many Channels(http://pubs.acs.org/doi/abs/10.1021/jp993732q)
- GPUによる高速実行
- Efficient Parallelization of Stochastic Simulation Algorithm for Chemically Reacting Systems on the Graphics Processing Unit(http://www.cs.ucsb.edu/~cse/Files/GPUSSArevision.pdf)
- PCクラスタ
- Parallel Stochastic Simulation of Whole-cell Models(http://icsb-2001.org/Posters/110_schwehm2.pdf)
- FPGA
- Practical Implementation of a Network-based Stochastic Biochemical Simulation System on an FPGA(http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=4630034)
- The need for speed in stochastic simulation(http://www.ncbi.nlm.nih.gov/pubmed/15286647)
- A Compiled Accelerator for Biological Cell Signaling Simulations(http://portal.acm.org/citation.cfm?id=968280.968313)
ngcom忘年会
みんなすごすぎる...どうしたものか.Diary/2008-12-24
クリスマスイブ
だったので献血へ.成分献血をすすめられたのに,血小板が少なかったらしく全血400mlで.
ひさしぶりに,すっきりできてよかった.
応急手当キットとかもらえて幸せ.
Diary/2008-12-23
東京に
戻ってきた.年賀状
飛行機で年賀状をみていたらスチュワーデスさんに,「うわー可愛い」といわれてしまった.
カード
の請求金額が多いなと思ったらインドに行った時の航空運賃の引き落しだった.
Diary/2008-12-22
雨がふりそうな
天気だったので家でのんびりと.結局雨があまり降らなかったものの
寒かったので家にいてよかったのかも.
肩車したり,家の中を走りまわったり,そんな感じ.
ミーティング
人が集まっていなかったみたいで,がっかり.Diary/2008-12-21
お宮参り
雨でしたが,お祓いをしてもらって,食事をしてと無事おわりました.
途中から,長男のお遊戯披露会の様相も呈していましたが.
年賀状
を作りました.ご入り用の方はメールください,なんちゃって.
Diary/2008-12-20
熊本へ
熊本へ.公園で
長男と遊ぶ.走るのがだいぶ速くなっていたり,社交的になっていたり.
Diary/2008-12-19
YAD忘年会
先生にも久しぶりに会えて良かった.いろいろとご報告をしたり.
ビール
ハンズとかで売っているキットのあれ.甘い感じでギネスとかに近い口当たりか?
アルコール分は,もちろん低め.
家でも作ってみたいけど,場所の確保とか難しいよな.
日本語
教育について勉強している人の話を聞いたり.イントネーションとか,子音とか.
よく勉強しているんだなあと,感心.
ところで,発音の口の形から日本には昔「は」行がなくて
室町後期くらいに西洋文化の流入とともに発生した
という話をしていたけど(「ぱ」と「ば」だけがあった)
「いろはにほへと」ってあったよなあ.
...というのは後で思いついたこと.聞けなくて残念.
Diary/2008-12-18
プレゼン
慣れしていない人と,慣れすぎている人と.大団円
でいいんだよなあ.Diary/2008-12-17
1/24
もう今年も,1/24を切ったのね.1年を1日に例えれば残り一時間.んむむむむ.
クリティカルシンキング
読書- クリティカルシンキング
- どんな状況においても可能な限り状況にあった考え方をする
個人的には
がんがん,(愛情をもって)怒ってくれる人がいるのはありがたい...と思う.
けど,それを逆手にとって人を怒っていいわけでは,勿論ない.
Diary/2008-12-16
反省
というか勉強になった.うーん,基本的に私って優しくないんだよな.
いつか目標に到達できるように心がけてみること.
- 褒める
- 倍くらい褒めることを意識する
- 認める
- 否定文をつかわない.disらない
- 導く
- 怒らない.怒っても解決しない
- 理解する.理解できるよう努力することをやめない
- まず肯定して聞く
- 疑問は確認する.でも問いつめない.
- 疲れた,と感じたら一度頭をリフレッシュする
- 意識を共有してから,話をすすめる
- 一人で話をすすめると,おしつけ,強要になる
- 卑屈にはならない
- なめられない
- 自信がもてるだけのことは,自分でやって,自分で認める.
要は,「相手に届くようなボールを投げる」っていう,
小柳津さんに教わったことをすぐ忘れてしまうってこと.
Diary/2008-12-14
「英語論文に使う表現文例集」のレジュメ
英語http://homepage1.nifty.com/kamegaya/ei_honbu.htm
Diary/2008-12-13
責任の取り方
って難しいよなあ.たとえば辞めるっていったとしても,
ただの自己満足といえば自己満足に過ぎないのだろうし.
Diary/2008-12-12
JALの予約ページ
予約内容を「iCalに追加」みたいなことができるけど,どちらかといえば,支払い期日を「iCalに追加」とかできるといいなあ.
で,私はiCalは使っていないので,
iCal2howmみたいなフィルタを書けば幸せになれるのかしら.
「それschedulで」とか言われてみたい.
カレンダー情報の登録方法
JALの場合http://www.jal.co.jp/5971/readme/calendar_tools.html
マクロのdo〜while(0)
M崎さんに教えてもらいました.↓にも.
http://blade.nagaokaut.ac.jp/cgi-bin/scat.rb/ruby/ruby-dev/11748
責任
をとるっていうのは難しいよなあ.Diary/2008-12-11
キーボード
Linux結局X60のキーボードを英語キーボードに変更した.
\が遠いのとたまに,バックスラッシュと打ち間違える.
なんか日本語キーボードより右手が忙しい気がするなあ.
- キーボードレイアウトの変更
sudo aptitude install console-dataで,consolde-dataをインストールして,配列を英語に.
ちなみに,設定しなおすときは,
sudo dpkg-reconfigure console-dataとする.
ついでにgnome-keyboard-propertiesでもレイアウトを変更
- scimのトリガ
[Altキー]+`をスキャンすると"Meta+`"になるのだけれど,
実際にはうまくいかない.
Alt+`を手で設定するとうまくいった.
- rdesktop
ミーティング
本郷にいったり,巣鴨にいったり.Diary/2008-12-10
Ubuntuの環境設定
ThinkPad X60にインストールしているUbuntuですが,やはり,Gnomeな環境は操作が面倒なので,ウィンドウマネージャをfvwm2に変更.
gnomeによる設定(?)に頼っていたキーボードとかマウスの設定を手で書いて,
あと,gnome-panelとかネットワーク設定とかの便利ツールだけ
起動するように変更.快適♪
- gnome-panelとかアプレット
AddToFunc "InitFunction" "I" Module FvwmWinList AddToFunc "InitFunction" "I" Exec gnome-panel & AddToFunc "InitFunction" "I" Exec gnome-terminal --geometry=+10+10 & AddToFunc "InitFunction" "I" Exec nm-applet & AddToFunc "InitFunction" "I" Exec oclock -fg black -bd black -transparent -geometry 100x100-0-0 & AddToFunc "InitFunction" "I" Exec gnome-keyring-daemon & AddToFunc "InitFunction" "I" Exec skype &こんな感じ.もっと増えるような気もするけど.
オンチップ制御並列プロセッサMUSCATの提案
論文読み@article{鳥居淳:19980615, author="鳥居 淳 and 近藤 真己 and 本村 真人 and 池野 晃久 and 小長谷 明彦 and 西 直樹", title="オンチップ制御並列プロセッサMUSCATの提案(<特集>並列処理)", journal="情報処理学会論文誌", ISSN="03875806", publisher="社団法人情報処理学会", year="19980615", volume="39", number="6", pages="1622-1631", URL="http://ci.nii.ac.jp/naid/110002722175/", DOI="", }
- 制御並列アーキテクチャ
- 命令レベル並列処理の理論的な性能限界
- 広範囲な領域における性能向上と自動並列化コンパイラの実現
- PE間の距離が短く交信コストが低いという利点を活かした制御並列処理
- 表1より
並列性抽出 | データ転送 | メモリ正依存 | メモリ逆依存 | |
---|---|---|---|---|
MUSCAT | コンパイラ | フォーク時レジスタ継承 | 同期/データSP | 自動解消 |
Multiscalar | コンパイラ | 任意時レジスタ継承 | データSP | ARB自動解消 |
SPSM | コンパイラ | フォーク時/後にマージ | データSP | 自動解消 |
Superthread | コンパイラ | メモリバッファ経由 | 同期 | メモリバッファ |
PEWs | ハードウェア | 任意時レジスタキュー経由 | データSP | キャッシュ内自動解消 |
TLS | コンパイラ | キャッシュ経由 | データSP | キャッシュ内自動解消 |
Multi-superscalar pipeline | ハードウェア | 親レジスタ参照可 | 同期 | ハードウェア |
OCHA-Pro | ハードウェア | キャッシュ経由 | データSP | SAB自動解消 |
SKY | コンパイラ | 任意時レジスタ継承 | 並列化しない | 並列化しない |
- MUSCATの特色
- フォーク1回モデル
- FORK/TERMをコードに付加
- 同時存在スレッド数はPE数+1以内
- 各スレッドの世代を定義できる
- デッドロックフリー
- フォーク先は隣接PEに限定可能
- 特別な同期機構が不要
- フォーク時レジスタ継承
- FORK命令実行時点のレジスタセットを後続スレッドで継承
- 先行/後続スレッド間のデータ転送にはレジスタ間直接転送命令を用いない
- スレッドスペキューレション
- 実行の取消しがH/W上可能な範囲で
- SPFORK(生成)/THFIX(確定)/THABORT(破棄)
- 2種類のメモリデータ依存性制御
- フォーク後にストアするアドレスが解析可能であればBLOCK宣言でロック(RELEASEで開放)
- 解析不可能な場合(1): FORKの発行を遅らせる
- 解析不可能な場合(2): 投機的なロード/ストア(= データスペキュレーション)
- データスペキュレーション失敗はout-of-orderスーパスカラの状態復帰機構
- 逆依存はストアバッファを用いてH/Wで解消
- 制御並列処理向きの同期命令
- 逐次順序関係の維持による暗黙の同期
- PWAIT(先行スレッドの終了をまつ)/CWAIT(スレッドの実行確定をまつ)
- コード生成
- フォーク生成:投棄実行の少い実行とレジスタ継承の活用のトレードオフ
- ループ間は継承すべきレジスタ値確定後にフォーク.
- 静的に決定できない場合SPFORK命令
- データ依存
- FORK1回の保証
- 評価
- 4命令/サイクル.スレッド生成は隣接PEに対し1サイクル.
- idct/p_bloc(SPEC gccのpropagate_block)/compress(SPEC)/eqntott(SPEC)
- 自動並列化可能な範囲で書きかえたプログラムを使用
- スーパスカラとの比較
- コード生成手法により,実行が確実/確率が高いスレッドが優先される
- 分岐予測ペナルティが課せられない
- 命令ウィンドウの分割によるプリフェッチ効果
- スーパスカラは命令ウィンドウサイズがループの1反復に限られる
- アンローリングしても使用可能な論理レジスタ本数による制限をうける
- 課題
- PE数が増えた場合,キャッシュ容量/理想数の不足からスラッシングが生じる
Diary/2008-12-9
予約とか
写真館とか食事する処とかの予約をした.一流の研究者のマネージメント、21の鉄則
だって.http://lifehacking.jp/2008/12/management-rules-of-a-top-scientist/
VirtualBox
ホストはUbuntu,ゲストはWindowsXP.ホストへのインストールはaptitudeで一発
sudo aptitude install virtualboxで,vboxusersグループに自分を加えて,
VirtualBoxで起動.GUIの指示に従ってサクサク設定して,Windowsインストール.
特に悩まなくてもゲストから外のネットワークに接続可能.
さすがにWebPackISEとか動かす気はさらさらないけど,
ちょっとしたWindowsな開発アプリくらいが動くといいなあ.
ColdFire関係とかARM関係とか諸々.
Diary/2008-12-8
Java/libusb
プログラミングFX2をLinuxから使うことに.
libusbとlibusbをJavaから操作するJNIライブラリの設定
sudo aptitude install cycfx2prog sudo aptitude install libusb-dev sudo aptitude install libusb++-devとか.
Javaで使えるようにする.
svn co https://libusbjava.svn.sourceforge.net/svnroot/libusbjavalibusbjava/trunk/LibusbJavaに移動して,
project.propertiesのbuild.linux.includes{2,3}を適切に設定.
私の環境だと,
build.linux.includes2=/usr/lib/jvm/java-6-sun-1.6.0.10/include build.linux.includes3=${build.linux.includes2}/linuxとしてコンパイル.
ant linux ln -s libusbJava.so.0.2.3 libusbJava.so次にJavaソースのコンパイル.libusbjava/trunk/javaの下で,
ant jar実行時は,
libusbJava.soをLD_LIBRARY_PATHで辿れる場所に置いて,
libusbjava/trunk/java/libの下のch.ntb.usb.jarにクラスパスを通せばよい.
年金とか
年金とかについていろいろ確認.宅配便
かなり強く言ってしまったが,結局荷物は受け取れそう.Diary/2008-12-7
今月は
実装とか実験に注力する.勝負は,1月後半から2月.
- H/W囲碁
- コンパイラ
- modoki
行ってみたい
平和苑という焼肉屋が美味しいと聞きましたhttp://gourmet.livedoor.com/restaurant/5122/
http://r.gnavi.co.jp/f324600/
コンパイラ制御可能なCOMA環境を実現するソフトウェアDSM Laurasiaの実装
論文読み@article{上原哲太郎:20000107, author="上原 哲太郎 and 齋藤 彰一 and 城 和貴 and 國枝 義敏", title="コンパイラ制御可能なCOMA環境を実現するソフトウェアDSM Laurasiaの実装", journal="情報処理学会研究報告. 計算機アーキテクチャ研究会報告", ISSN="09196072", publisher="社団法人情報処理学会", year="20000107", volume="2000", number="1", pages="49-54", URL="http://ci.nii.ac.jp/naid/110002774817/", DOI="", }
- COMA
- 全プロセッサが一つのメモリアドレス空間を共有
- ノード上のローカルメモリはキャッシュ
- 主記憶の各ノードへのメモリ割当ては動的に決定
- ローカルメモリ同士のデータの整合性の制御が必要
- データ整合性による転送が増える.
- Weak Consistency制御モデルとしてEntry Consistencyモデルを導入
- NUMAではなくCOMA
- [予想]あるページがどのノードにあるコストがデータ転送自体のコストに比べ小さい
- ノード間のデータの動的再配置は自然に行われる
- Laurasia
- コンパイラでECモデルに基く整合性制御用コードを埋め込む
- キャッシュのページと同期変数の関連を宣言
- 共有変数の参照に際し,acquire/releaseプリミティブでの宣言(= 同期変数へのロック)を行う
- キャッシュのページと同期の変数を宣言することによってシステム側で記憶
- システムコールでページ-同期変数,同期変数-ページ群を得る
- キャッシュ制御スレッド
- 他ノードから複製要求されたページがロックされていたら転送を遅延
- Acquire発行.ロック
- 共有変数がinvalidなら読み込み要求発行
- クリティカルセクション実行
- 共有変数の各ページが共有されていればExlusiveにして,他ノードは無効化
- relase発行.同期変数の開放.
Diary/2008-12-6
続・ハーマンモデル
そういえば,ハーマンモデルの話の後に,コミュニケーションスキルの高い人達の会話について
EPの先生が話してくださった.
EPの先生が言うには,コミュニケーションスキルの高い人達は
議論に争点が生じると,思考プロセスをお互いに開示して,
争点の原点に立ち帰って議論をするらしい.
そうすることで生産性の高い議論ができるという.
うまい例が思いつかないが,
たとえば,ある夫婦にお土産を持っていく算段をしていて,
Aさんはケーキを,Bさんは花をもっていくことを提案したとする.
それぞれのメリット/デメリットを挙げていく場合,
ケーキは,美味しいよね. 花は,綺麗だよね. あの奧さんはケーキに目がない あの旦那さんは,ダイエットをはじめたからケーキは良くないんじゃない ...のような感じで,議論が発散してしまう可能性がある.
もっとも,これは意図して発散させてはいるが.
一方,思考プロセスをお互いに開示すると,
あの奧さんはケーキに目がないから,ケーキがいい あの旦那さんはダイエットをはじめたから食品以外で花がいいで,争点が奧さんか旦那さんのどちらにより喜んで欲しいかという,
争点なのかはっきりとするっていうことなのかなあ.
Diary/2008-12-5
ハーマンモデル
実践工房のEPの先生に教えていただいた.ハーマンモデルに詳しい.
大脳を,右脳-左脳,新皮質-辺縁系の二軸による4つのエリアに分割し,
どのエリアを活用しているかによって,自己認識,相互認識を行うというツール.
ハーマンモデルおよび
Whole Brain Model(Herrmann)によると,
- 左脳/新皮質(A)
- Logical(論理的),Analytical(分析的),Fact-Based(事実重視,Quantitative(数量的)
- 右脳/新皮質(B)
- Holistic(全体的),Intuitive(直観的),Integrating(統合的),Synthesizing(合成的)
- 左脳/辺縁系(C)
- Organized(系統だった),Sequential(順序だった),Planned(計画的),Detailed(詳細な)
- 右脳/辺縁系(D)
- Interpersonal(対人的),Feeling-Based(感じに基づく),Kinesthetic(運動感覚性の),Emotional(感情的)
Dだけが得意という人もいる.
で,A〜Dあるいは,全部得意な人が偉い,
とかではないということ.
大事なのは,何かを理解する場合にそれぞれの人が違う脳の使い方をしていて,
その理解する方法が違う人同士が議論すると,
議論が噛み合わなくて悲しいということ.
自己認識,相互認識の上で,議論相手にあった話し方を心掛けるということ.
相手を変えるのは難しいけど(不可能?),自分が変わることは簡単(努力次第).
なお,診断は,ファシリテータの人を通すと半額近くになるらしい.
# 私も診断してもらってないので,一度みてもらいたいような気もするけど,
# たんにゲーム感覚な興味なだけかも.
# 上記の内容を考えることの方が,はるかに重要な気がする.
○○モデル
ハーマンモデルのように,○○モデルというと,○○モデルは,xxx(の概念)によってyyyを定義(or 説明)するモデルのような説明が欲しくなってしまうけど,
ハーマンモデルによると,
ハーマンモデルとは、ノーベル賞受賞学者の大脳生理学理論を 起源とする「脳」の研究をベースにした科学的ツールで 「脳優勢度調査」とも呼ばれています。だそうで,そういう説明はなかった.
英語で検索してみると,
Whole Brain Model(Herrmann)には,
The Whole Brain Model from Ned Herrmann is a technique〜という説明があった.
うーん,私の○○モデルに対する説明の認識が間違っているのか?
Verilogことはじめ
Verilog-HDLは,講義で教わったはずなのだけれども,ちょっと読めるくらい.ばりばり書けるようになるために,
まずは環境構築から.
シミュレーションは,Icarus Verilog|http://www.icarus.com/eda/verilog]で,波形は,[GTKwaveでみることに.
手元の環境はUbuntu Linuxなので,
sudo apptitude install verilog sudo apptitude install gtkwaveで環境構築はおしまい.簡単.
使い方は,
iverilog -o hoge hove.v hoge_sim.vとかしてシミュレーション対象となるhogeを生成する.
ここで,hoge_sim.vには,
$dumpfile("hoge.vcd"); $dumpvars(0, hoge_sim);とかダンプファイルを生成するための情報を書いておく.
vvp hogeでシミュレーション.波形ファイル"hoge.vcd"が生成される.
波形はGTKwaveで確認.
gtkwave hoge.vcd
Diary/2008-12-3
論文の誤り
論文について質問のメールをいただいたので確認してみたところ図が誤っていて.
該当の図は,査読していただいた際には,
graphvizで作成したものをそのままTeXで縮小して貼り付けていたのだが
最終原稿作成時に,図を原寸で作成するためにトレースしなおしたものだった.
どうやら,この段階で誤りをいれてしまったよう.
該当の論文は,
三好健文 杉野暢彦, "レジスタスロットを考慮したSIMD向け細粒度自動並列化コンパイラ", 情報処理学会論文誌コンピューティングシステム (IPSJ Transactions on Advanced Computing Systems)第23号,2008年8月, pp. 240-249で,図10が,
- 誤
- 正
指摘してくださった方に感謝と共に,本当に申しわけない.
Diary/2008-12-1
気づいたら
12月か...Ubuntu 8.10
をインストール.- SSDなので
/etc/fstabに
tmpfs /tmp tmpfs defaults 0 0 tmpfs /var/tmp tmpfs defaults 0 0と追記.また,/にnoatimeオプションを
- TeX環境
を参考にインストール
epsの日本語が化けるので,
http://ossipedia.ipa.go.jp/ipafont/download.php?
から,IPAフォントをダウンロードし,
/usr/share/fonts/truetype/IPAfont00203/
に解凍
/usr/share/ghostscript/fonts/
に,
ipag.ttf -> /usr/share/fonts/truetype/IPAfont00203/ipag.ttf
ipam.ttf -> /usr/share/fonts/truetype/IPAfont00203/ipam.ttf
なリンクを用意して,
/usr/share/ghostscript/8.63/lib/cidfmap
に,
/ipaMincho << /FileType /TrueType /CSI [(Japan1) 6] /Path (ipam.ttf) >> ; /ipaGothic << /FileType /TrueType /CSI [(Japan1) 6] /Path (ipag.ttf) >> ; /Ryumin-Light /ipaMincho ; /Ryumin-Medium /ipaMincho ; /HeiseiKakuGo-W5 /ipaGothic ; /GothicBBB-Medium /ipaGothic ; /HeiseiMin-W3 /ipaMincho ;と追記.
- フォルダ名の英語化
全角のディレクトリ名が鬱陶しいので英語に
.config/user-dirs.dirsを変更する.
Copyright (c) 2001-2008 Takefumi MIYOSHI, All rights reserved